Skip to content

Commit b8b9e9f

Browse files
committed
test: add verification - testing both ROM and factory test
1 parent 9e612a5 commit b8b9e9f

File tree

9 files changed

+303
-0
lines changed

9 files changed

+303
-0
lines changed

.github/workflows/verification.yaml

+39
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,39 @@
1+
name: verification
2+
3+
on:
4+
workflow_dispatch:
5+
push:
6+
pull_request:
7+
8+
jobs:
9+
verification:
10+
runs-on: ubuntu-22.04
11+
12+
steps:
13+
- name: Checkout repo
14+
uses: actions/checkout@v4
15+
with:
16+
submodules: recursive
17+
18+
- name: Setup python
19+
uses: actions/setup-python@v5
20+
with:
21+
python-version: '3.12'
22+
cache: 'pip'
23+
- run: pip install -r test/requirements.txt
24+
25+
- name: Install iverilog
26+
run: sudo apt-get update && sudo apt-get install -y iverilog
27+
28+
- name: Mux verification
29+
working-directory: test
30+
run: |
31+
make clean test_mux
32+
# make will return success even if the test fails, so check for failure in the results.xml
33+
! grep failure results.xml
34+
35+
- name: Publish VCD
36+
uses: actions/upload-artifact@v4
37+
with:
38+
name: vcd
39+
path: test/test_mux.vcd

designs/src/tt-chip/basic_mux.v

+6
Original file line numberDiff line numberDiff line change
@@ -24,6 +24,8 @@ module basic_mux (
2424
.ow (p01_ow)
2525
);
2626

27+
`ifndef RTL_TESTBENCH
28+
2729
wire p02_selected = (addr == 5'd02);
2830
wire [17:0] p02_iw = p02_selected ? iw : 18'b0;
2931
wire [23:0] p02_ow;
@@ -42,12 +44,16 @@ module basic_mux (
4244
.ow (p03_ow)
4345
);
4446

47+
`endif // RTL_TESTBENCH
48+
4549
always_comb begin
4650
case (addr)
4751
5'd00: ow = p00_ow;
4852
5'd01: ow = p01_ow;
53+
`ifndef RTL_TESTBENCH
4954
5'd02: ow = p02_ow;
5055
5'd03: ow = p03_ow;
56+
`endif // RTL_TESTBENCH
5157
default: ow = 24'b0;
5258
endcase
5359
end

test/.gitignore

+5
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,5 @@
1+
sim_build
2+
sim_build_gates
3+
*.pyc
4+
*.vcd
5+
results.xml

test/Makefile

+84
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,84 @@
1+
export DESIGN_NICKNAME = tt-chip
2+
export DESIGN_NAME = tt_top
3+
export PLATFORM = ihp-sg13g2
4+
export DESIGN_HOME = $(abspath ../designs)
5+
export PROJECTS_HOME = $(abspath ../projects)
6+
export EXPECTED_REPO = TinyTapeout/tinytapeout-ihp-0p1
7+
8+
# cocotb setup
9+
export COCOTB_REDUCED_LOG_FMT=1
10+
11+
# When executing the '_gl' rule, force GATES to 'yes'
12+
ifneq ($(filter test_mux_gl,$(MAKECMDGOALS)),)
13+
GATES := yes
14+
else
15+
GATES ?= no
16+
endif
17+
18+
export TT_GL_VERILOG := $(abspath ../../../tt-multiplexer/ol2/tt_top/verilog/)
19+
20+
SIM ?= icarus
21+
WAVES ?= yes
22+
TOPLEVEL ?= tt_top_tb
23+
MODULE ?= test_mux
24+
TOPLEVEL_LANG ?= verilog
25+
COMPILE_ARGS += -DSIM_$(shell echo $(SIM) | tr a-z A-Z)=1
26+
COMPILE_ARGS += -DROM_VMEM_PATH=\"$(PROJECTS_HOME)/tt_um_chip_rom/src/rom.vmem\"
27+
28+
# Additional arguments to support Verilator
29+
ifeq ($(SIM),verilator)
30+
COMPILE_ARGS += $(abspath lint.cfg)
31+
COMPILE_ARGS += --timescale 1ns/1ps
32+
COMPILE_ARGS += --timescale-override 1ns/1ps
33+
COMPILE_ARGS += -Wno-TIMESCALEMOD
34+
COMPILE_ARGS += -Wno-fatal
35+
# Verilator can't turn wave capture on and off dynamically, so instead compile
36+
# a specific variant with capture supported
37+
ifeq ($(WAVES),yes)
38+
COMPILE_ARGS += --trace-fst
39+
SIM_BUILD := sim_build_waves
40+
endif
41+
ifeq ($(GATES),yes)
42+
$(info ERROR: Cannot perform gate-level simulation with Verilator)
43+
$(error 1)
44+
endif
45+
else ifeq ($(SIM),icarus)
46+
ifeq ($(WAVES),yes)
47+
PLUSARGS += +WAVE_FILE=test_mux.vcd
48+
endif
49+
endif
50+
51+
# Alter behaviour between GLS & fast functional sims
52+
ifeq ($(GATES),yes)
53+
COMPILE_ARGS += -i
54+
COMPILE_ARGS += -DGL_TEST
55+
COMPILE_ARGS += -DFUNCTIONAL
56+
COMPILE_ARGS += -DSIM
57+
VERILOG_SOURCES += $(abspath ../IHP-Open-PDK/ihp-sg13g2/libs.ref/sg13g2_stdcell/verilog/sg13g2_stdcell.v)
58+
VERILOG_SOURCES += $(abspath ../results/ihp-sg13g2/tt-chip/base/6_final.v)
59+
SIM_BUILD := sim_build_gates
60+
else
61+
COMPILE_ARGS += -DRTL_TESTBENCH
62+
COMPILE_ARGS += -f $(abspath ./includes.txt)
63+
endif
64+
65+
# IO cell library is relevent for both RTL / GL sims
66+
VERILOG_SOURCES += $(abspath ../IHP-Open-PDK/ihp-sg13g2/libs.ref/sg13g2_io/verilog/sg13g2_io.v)
67+
68+
# Standard sources
69+
VERILOG_SOURCES += $(abspath test_mux_tb.v)
70+
71+
PYTHON_PATHS += $(abspath .)
72+
export PYTHONPATH := $(subst $(SPACE),:,$(PYTHON_PATHS)):$(PYTHONPATH)
73+
74+
include $(shell cocotb-config --makefiles)/Makefile.sim
75+
76+
# ==============================================================================
77+
# Simulation
78+
# ==============================================================================
79+
80+
.PHONY: test_mux
81+
test_mux: $(COCOTB_RESULTS_FILE)
82+
83+
.PHONY: test_mux_gl
84+
test_mux_gl: $(COCOTB_RESULTS_FILE)

test/includes.txt

+6
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,6 @@
1+
-v $(DESIGN_HOME)/src/$(DESIGN_NICKNAME)/tt_top.v
2+
-v $(DESIGN_HOME)/src/$(DESIGN_NICKNAME)/basic_mux.v
3+
-v $(DESIGN_HOME)/src/$(DESIGN_NICKNAME)/wrappers/p00_wrapper.v
4+
-v $(DESIGN_HOME)/src/$(DESIGN_NICKNAME)/wrappers/p01_wrapper.v
5+
-v $(PROJECTS_HOME)/tt_um_chip_rom/src/tt_um_chip_rom.v
6+
-v $(PROJECTS_HOME)/tt_um_factory_test/src/tt_um_factory_test.v

test/requirements.txt

+2
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,2 @@
1+
cocotb==1.9.0
2+
pytest==8.2.2

test/test_mux.gtkw

+28
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,28 @@
1+
[*]
2+
[*] GTKWave Analyzer v3.3.103 (w)1999-2019 BSI
3+
[*] Thu Jul 18 06:38:24 2024
4+
[*]
5+
[dumpfile] "/home/uri/p/tinytapeout-ihp-0p1/test/test_mux.vcd"
6+
[dumpfile_mtime] "Thu Jul 18 06:38:00 2024"
7+
[dumpfile_size] 8574674
8+
[savefile] "/home/uri/p/tinytapeout-ihp-0p1/test/test_mux.gtkw"
9+
[timestart] 0
10+
[size] 1000 600
11+
[pos] -1 -1
12+
*-24.036236 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13+
[sst_width] 214
14+
[signals_width] 126
15+
[sst_expanded] 1
16+
[sst_vpaned_height] 152
17+
@22
18+
tt_top_tb.addr[4:0]
19+
@28
20+
tt_top_tb.clk
21+
tt_top_tb.rst_n
22+
@22
23+
tt_top_tb.ui_in[7:0]
24+
tt_top_tb.uo_out[7:0]
25+
@23
26+
tt_top_tb.uio[7:0]
27+
[pattern_trace] 1
28+
[pattern_trace] 0

test/test_mux.py

+95
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,95 @@
1+
import cocotb
2+
from cocotb.types import LogicArray
3+
from cocotb.clock import Clock
4+
from cocotb.triggers import ClockCycles
5+
import re
6+
import os
7+
import binascii
8+
9+
10+
async def enable_design(dut, mux_addr):
11+
dut._log.info(f"enabling design at mux address {mux_addr}")
12+
dut.addr.value = mux_addr
13+
14+
15+
@cocotb.test()
16+
async def test_factory_test(dut):
17+
clock = Clock(dut.clk, 100, units="ns") # 10 MHz
18+
cocotb.start_soon(clock.start())
19+
20+
dut.uio.value = LogicArray("Z" * 8)
21+
dut.ui_in.value = 0
22+
# select test design
23+
dut.rst_n.value = 0
24+
await enable_design(dut, 1)
25+
26+
# with bit 0 of ui_in set to 0, module will copy inputs to outputs
27+
dut.ui_in.value = 0b0
28+
await ClockCycles(dut.clk, 5) # wait until the wait state config is read
29+
dut.rst_n.value = 1
30+
31+
dut._log.info("test loopback")
32+
for i in range(256):
33+
dut.uio.value = i
34+
await ClockCycles(dut.clk, 1)
35+
assert dut.uo_out.value == i
36+
37+
# with bit 0 of ui_in set to 1, module will enable bidirectional outputs and put a counter on both output and bidirectional output
38+
dut.uio.value = LogicArray("Z" * 8)
39+
dut.ui_in.value = 0b1
40+
41+
# reset it
42+
dut.rst_n.value = 0
43+
await ClockCycles(dut.clk, 5) # wait until the wait state config is read
44+
dut.rst_n.value = 1
45+
await ClockCycles(dut.clk, 2) # sync
46+
47+
dut._log.info("test counter")
48+
for i in range(256):
49+
assert dut.uo_out.value == dut.uio.value
50+
assert dut.uo_out.value == i
51+
await ClockCycles(dut.clk, 1) # wait until the wait state config is read
52+
53+
54+
@cocotb.test()
55+
async def test_rom(dut):
56+
clock = Clock(dut.clk, 100, units="ns") # 10 MHz
57+
cocotb.start_soon(clock.start())
58+
59+
dut.uio.value = LogicArray("Z" * 8)
60+
dut.ui_in.value = 0
61+
# select ROM design
62+
dut.rst_n.value = 0
63+
await enable_design(dut, 0)
64+
65+
dut._log.info("test ROM")
66+
buf = bytearray(256)
67+
for byte_idx in range(len(buf)):
68+
dut.ui_in.value = byte_idx
69+
await ClockCycles(dut.clk, 1)
70+
buf[byte_idx] = dut.uo_out.value.integer
71+
72+
text = buf[32:128].rstrip(b"\0").decode("ascii")
73+
items = {}
74+
for line in text.split("\n"):
75+
if len(line) == 0:
76+
break
77+
key, value = line.split("=", 2)
78+
items[key] = value
79+
80+
dut._log.info(f"ROM start bytes: {binascii.hexlify(buf[:32], ' ').decode('ascii')}")
81+
dut._log.info(f"ROM text data: {items}")
82+
83+
assert "shuttle" in items
84+
assert "repo" in items
85+
# commit is not available in IHP ROM
86+
#assert "commit" in items
87+
88+
assert items["repo"] == os.environ.get("EXPECTED_REPO")
89+
#assert re.match("^[0-9a-f]{8}$", items["commit"]) != None
90+
91+
magic = buf[248:252]
92+
assert magic == b"TT\xFA\xBB"
93+
94+
crc32 = int.from_bytes(buf[252:256], "little")
95+
assert crc32 == binascii.crc32(buf[0:252])

test/test_mux_tb.v

+38
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,38 @@
1+
`default_nettype none
2+
3+
module tt_top_tb (
4+
// User projects interface
5+
input wire clk,
6+
input wire rst_n,
7+
input wire [7:0] ui_in,
8+
output wire [7:0] uo_out,
9+
inout wire [7:0] uio,
10+
11+
// control interface
12+
input wire [4:0] addr
13+
);
14+
15+
`ifdef SIM_ICARUS
16+
initial begin
17+
string f_name;
18+
$timeformat(-9, 2, " ns", 20);
19+
if ($value$plusargs("WAVE_FILE=%s", f_name)) begin
20+
$display("%0t: Capturing wave file %s", $time, f_name);
21+
$dumpfile(f_name);
22+
$dumpvars(0, tt_top_tb);
23+
end else begin
24+
$display("%0t: No filename provided - disabling wave capture", $time);
25+
end
26+
end
27+
`endif
28+
29+
tt_top tt (
30+
.addr_PAD(addr),
31+
.ui_in_PAD(ui_in),
32+
.uo_out_PAD(uo_out),
33+
.uio_PAD(uio),
34+
.clk_PAD(clk),
35+
.rst_n_PAD(rst_n)
36+
);
37+
38+
endmodule

0 commit comments

Comments
 (0)