We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent cbe5709 commit 4af5729Copy full SHA for 4af5729
lambdalib/cores/lcd/ssd1306.py
@@ -189,14 +189,14 @@ def elaborate(self, platform):
189
with m.FSM():
190
with m.State("UNKNOWN"):
191
with m.If(self.reset | self.por_init):
192
+ m.d.sync += self.ready.eq(0)
193
m.next = "RESET"
194
195
with m.State("RESET"):
196
m.d.comb += [
197
init .rewind.eq(1),
198
display.rewind.eq(1),
199
]
- m.d.sync += self.ready.eq(0)
200
m.next = "INIT"
201
202
with m.State("INIT"):
@@ -212,6 +212,7 @@ def elaborate(self, platform):
212
213
with m.State("DISPLAY"):
214
with m.If(self.reset):
215
216
217
218
# Send the appropriate sequence to prepare
0 commit comments