Skip to content

Commit 4af5729

Browse files
committed
cores/lcd/ssd1306: fix ready signal
1 parent cbe5709 commit 4af5729

File tree

1 file changed

+2
-1
lines changed

1 file changed

+2
-1
lines changed

lambdalib/cores/lcd/ssd1306.py

Lines changed: 2 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -189,14 +189,14 @@ def elaborate(self, platform):
189189
with m.FSM():
190190
with m.State("UNKNOWN"):
191191
with m.If(self.reset | self.por_init):
192+
m.d.sync += self.ready.eq(0)
192193
m.next = "RESET"
193194

194195
with m.State("RESET"):
195196
m.d.comb += [
196197
init .rewind.eq(1),
197198
display.rewind.eq(1),
198199
]
199-
m.d.sync += self.ready.eq(0)
200200
m.next = "INIT"
201201

202202
with m.State("INIT"):
@@ -212,6 +212,7 @@ def elaborate(self, platform):
212212

213213
with m.State("DISPLAY"):
214214
with m.If(self.reset):
215+
m.d.sync += self.ready.eq(0)
215216
m.next = "RESET"
216217

217218
# Send the appropriate sequence to prepare

0 commit comments

Comments
 (0)