Skip to content

Commit 0864456

Browse files
bluewwwandreaskurth
authored andcommitted
axi_id_remap: Fix Verilator ifndef not covering asserts
1 parent 72723d1 commit 0864456

File tree

2 files changed

+2
-1
lines changed

2 files changed

+2
-1
lines changed

CHANGELOG.md

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -13,6 +13,7 @@ and this project adheres to [Semantic Versioning](http://semver.org/spec/v2.0.0.
1313
### Changed
1414

1515
### Fixed
16+
- `axi_id_remap`: Improve compatibility with Verilator by excluding `assert`s for that tool.
1617
- `axi_lite_demux`: Improve compatibility with VCS (issue #187 reported for `axi_demux`, which was
1718
fixed in v0.29.2).
1819

src/axi_id_remap.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -378,7 +378,6 @@ module axi_id_remap #(
378378
assert ($bits(mst_req_o.ar.id) == AxiMstPortIdWidth);
379379
assert ($bits(mst_resp_i.r.id) == AxiMstPortIdWidth);
380380
end
381-
`endif
382381
default disable iff (!rst_ni);
383382
assert property (@(posedge clk_i) slv_req_i.aw_valid && slv_resp_o.aw_ready
384383
|-> mst_req_o.aw_valid && mst_resp_i.aw_ready);
@@ -394,6 +393,7 @@ module axi_id_remap #(
394393
|=> mst_req_o.ar_valid && $stable(mst_req_o.ar.id));
395394
assert property (@(posedge clk_i) mst_req_o.aw_valid && !mst_resp_i.aw_ready
396395
|=> mst_req_o.aw_valid && $stable(mst_req_o.aw.id));
396+
`endif
397397
// pragma translate_on
398398
endmodule
399399

0 commit comments

Comments
 (0)