File tree 2 files changed +6
-6
lines changed
2 files changed +6
-6
lines changed Original file line number Diff line number Diff line change @@ -71,7 +71,7 @@ module systolic_array (
71
71
72
72
output wire [7 :0 ] out
73
73
);
74
- localparam SLICES = 2 ;
74
+ localparam SLICES = 1 ;
75
75
localparam SLICE_BITS = $clog2(SLICES);
76
76
localparam SLICES_MINUS_1 = SLICES - 1 ;
77
77
localparam W = 1 * SLICES;
@@ -153,6 +153,6 @@ module systolic_array (
153
153
end
154
154
endgenerate
155
155
156
- // assign out = out_queue[out_queue_counter] >> 8;
157
- assign out = out_queue[out_queue_counter][7 :0 ];
156
+ assign out = out_queue[out_queue_counter] >> 8 ;
157
+ // assign out = out_queue[out_queue_counter][7:0];
158
158
endmodule
Original file line number Diff line number Diff line change 7
7
from cocotb .triggers import ClockCycles
8
8
from utils import *
9
9
10
- COMPUTE_SLICES = 2
10
+ COMPUTE_SLICES = 1
11
11
COMPUTE_BLOCK_WIDTH = 1 * COMPUTE_SLICES
12
12
COMPUTE_BLOCK_HEIGHT = 4 * COMPUTE_SLICES
13
13
14
14
15
15
def OUT (v ):
16
- # return v >> 8
17
- return s8_to_i32 (v & 255 )
16
+ return v >> 8
17
+ # return s8_to_i32(v & 255)
18
18
19
19
@cocotb .test ()
20
20
async def test_1 (dut ):
You can’t perform that action at this time.
0 commit comments