-
Notifications
You must be signed in to change notification settings - Fork 61
/
Copy path__init__.py
1981 lines (1660 loc) · 84.2 KB
/
__init__.py
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
# OpenROAD-flow par plugin for Hammer
#
# See LICENSE for licence details.
# NOTE: any hard-coded values are from OpenROAD example flow
import os
import shutil
import errno
import platform
import subprocess
import re
from datetime import datetime
from typing import List, Optional, Dict, Any, Tuple, Callable
from decimal import Decimal
from pathlib import Path
from textwrap import indent, dedent
from hammer.logging import HammerVLSILogging
from hammer.utils import deepdict, optional_map
from hammer.vlsi import HammerTool, HammerPlaceAndRouteTool, HammerToolStep, HammerToolHookAction, MMMCCornerType, PlacementConstraintType, TCLTool
from hammer.vlsi.units import TimeValue, CapacitanceValue
from hammer.vlsi.constraints import MMMCCorner, MMMCCornerType
from hammer.vlsi.vendor import OpenROADTool, OpenROADPlaceAndRouteTool
import hammer.tech as hammer_tech
from hammer.tech import RoutingDirection, Site
import hammer.tech.specialcells as specialcells
from hammer.tech.specialcells import CellType, SpecialCell
class OpenROADPlaceAndRoute(OpenROADPlaceAndRouteTool):
#=========================================================================
# overrides from parent classes
#=========================================================================
@property
def steps(self) -> List[HammerToolStep]:
return self.make_steps_from_methods([
self.init_design,
# FLOORPLAN
self.floorplan_design,
self.place_bumps,
self.macro_placement,
self.place_tapcells,
self.power_straps,
# PLACE
self.global_placement,
self.io_placement,
self.resize,
self.detailed_placement,
# CTS
self.clock_tree,
self.clock_tree_resize,
self.add_fillers,
# ROUTING
self.global_route,
self.global_route_resize,
self.detailed_route,
# FINISHING
self.extraction,
self.write_design,
])
def get_tool_hooks(self) -> List[HammerToolHookAction]:
return [self.make_persistent_hook(openroad_global_settings)]
@property
def _step_transitions(self) -> List[Tuple[str, str]]:
"""
Private helper property to keep track of which steps we ran so that we
can create symlinks.
This is a list of (pre, post) steps
"""
return self.attr_getter("__step_transitions", [])
@_step_transitions.setter
def _step_transitions(self, value: List[Tuple[str, str]]) -> None:
self.attr_setter("__step_transitions", value)
@property
def _steps_to_run(self) -> List[str]:
"""
Private helper property to keep track of which steps we ran so that we
can create symlinks.
This is a list of (pre, post) steps
"""
return self.attr_getter("__steps_to_run", [])
@_steps_to_run.setter
def _steps_to_run(self, value: List[str]) -> None:
self.attr_setter("__steps_to_run", value)
def do_pre_steps(self, first_step: HammerToolStep) -> bool:
self.created_archive = False
self.ran_write_design = False
if self.create_archive_mode == "latest_run":
# since OpenROAD won't be run, get OpenROAD "output" from previous run's log
if not os.path.exists(self.openroad_latest_log):
self.logger.error("""ERROR: OpenROAD place-and-route must be run before creating an archive. To fix this error:
1. In your YAML configs, set par.openroad.create_archive_mode : none
2. Re-run the previous command
3. Set par.openroad.create_archive_mode : latest_run""")
exit(1)
self.logger.warning("Skipping place-and-route run and creating an archive of the latest OpenROAD place-and-route run (because par.openroad.create_archive_mode key was set to 'latest_run')")
# NOTE: openroad log will be empty if OpenROAD was terminated (e.g. by Ctrl-C)
with open(self.openroad_latest_log,'r') as f:
output = f.read()
self.create_archive(output,0) # give it a zero exit code to indicate OpenROAD didn't error before this
exit(0)
assert super().do_pre_steps(first_step)
# Restore from the last checkpoint if we're not starting over.
if first_step != self.first_step:
self.init_design(first_step=first_step)
self._steps_to_run = self._steps_to_run + [first_step.name]
return True
def do_between_steps(self, prev: HammerToolStep, next: HammerToolStep) -> bool:
assert super().do_between_steps(prev, next)
# Write a checkpoint to disk.
self.block_append("write_db pre_{step}".format(step=next.name))
# Symlink the database to latest for open_chip script later.
self.block_append("exec ln -sfn pre_{step} latest".format(step=next.name))
self._step_transitions = self._step_transitions + [(prev.name, next.name)]
self._steps_to_run = self._steps_to_run + [next.name]
return True
def do_post_steps(self) -> bool:
assert super().do_post_steps()
# Create symlinks for post_<step> to pre_<step+1> to improve usability.
try:
for prev, next in self._step_transitions:
os.symlink(
os.path.join(self.run_dir, "pre_{next}".format(next=next)), # src
os.path.join(self.run_dir, "post_{prev}".format(prev=prev)) # dst
)
except OSError as e:
if e.errno != errno.EEXIST:
self.logger.warning("Failed to create post_* symlinks: " + str(e))
# Create db post_<last step>
if len(self._steps_to_run) > 0:
last = "post_{step}".format(step=self._steps_to_run[-1])
self.block_append("write_db {last}".format(last=last))
# Symlink the database to latest for open_chip script later.
self.block_append("exec ln -sfn {last} latest".format(last=last))
return self.run_openroad()
@property
def all_regs_path(self) -> str:
return os.path.join(self.run_dir, "find_regs_paths.json")
@property
def all_cells_path(self) -> str:
return os.path.join(self.run_dir, "find_regs_cells.json")
@property
def output_sdf_path(self) -> str:
return os.path.join(self.run_dir, "{top}.par.sdf.gz".format(top=self.top_module))
@property
def output_spef_paths(self) -> List[str]:
return [os.path.join(self.run_dir, "{top}.par.spef".format(top=self.top_module))]
@property
def route_guide_path(self) -> str:
return os.path.join(self.run_dir, "{top}.route_guide".format(top=self.top_module))
@property
def env_vars(self) -> Dict[str, str]:
v = dict(super().env_vars)
v["OPENROAD_BIN"] = self.get_setting('par.openroad.openroad_bin')
return v
@property
def output_def_filename(self) -> str:
return os.path.join(self.run_dir, "{top}.def".format(top=self.top_module))
@property
def output_gds_filename(self) -> str:
return os.path.join(self.run_dir, "{top}.gds".format(top=self.top_module))
@property
def output_netlist_filename(self) -> str:
return os.path.join(self.run_dir, "{top}.lvs.v".format(top=self.top_module))
@property
def output_sim_netlist_filename(self) -> str:
return os.path.join(self.run_dir, "{top}.sim.v".format(top=self.top_module))
@property
def generated_scripts_dir(self) -> str:
return os.path.join(self.run_dir, "generated-scripts")
@property
def open_chip_script(self) -> str:
return os.path.join(self.generated_scripts_dir, "open_chip")
@property
def open_chip_tcl(self) -> str:
return self.open_chip_script + ".tcl"
@property
def openroad_latest_log(self) -> str:
return os.path.join(self.run_dir,"openroad.log")
@property
def create_archive_mode(self) -> str:
return self.get_setting('par.openroad.create_archive_mode')
@property
def reports_dir(self) -> str:
return os.path.join(self.run_dir, "reports")
@property
def metrics_dir(self) -> str:
return os.path.join(self.run_dir, "metrics")
@property
def metrics_file(self) -> str:
return os.path.join(self.metrics_dir, f"metrics-{self._steps_to_run[-1]}.json")
@property
def fill_cells(self) -> str:
stdfillers = self.technology.get_special_cell_by_type(CellType.StdFiller)
return ' '.join(list(map(lambda c: str(c), stdfillers[0].name)))
@property
def timing_driven(self) -> bool:
return self.get_setting('par.openroad.timing_driven')
def reports_path(self, rpt_name) -> str:
return os.path.join(self.reports_dir, rpt_name)
def tech_lib_filter(self) -> List[Callable[[hammer_tech.Library], bool]]:
""" Filter only libraries from tech plugin """
return [self.filter_for_tech_libs]
def filter_for_tech_libs(self, lib: hammer_tech.Library) -> bool:
return lib in self.technology.tech_defined_libraries
def extra_lib_filter(self) -> List[Callable[[hammer_tech.Library], bool]]:
""" Filter only libraries from vlsi.inputs.extra_libraries """
return [self.filter_for_extra_libs]
def filter_for_extra_libs(self, lib: hammer_tech.Library) -> bool:
return lib in list(map(lambda el: el.store_into_library(), self.technology.get_extra_libraries()))
def export_config_outputs(self) -> Dict[str, Any]:
outputs = dict(super().export_config_outputs())
outputs["par.outputs.seq_cells"] = self.output_seq_cells
outputs["par.outputs.all_regs"] = self.output_all_regs
outputs["par.outputs.sdf_file"] = self.output_sdf_path
outputs["par.outputs.spefs"] = self.output_spef_paths
return outputs
def fill_outputs(self) -> bool:
# TODO: no support for ILM
self.output_ilms = []
self.output_gds = self.output_gds_filename
self.output_netlist = self.output_netlist_filename
self.output_sim_netlist = self.output_sim_netlist_filename
if os.path.isfile(self.output_gds_filename):
self.output_gds = self.output_gds_filename
if os.path.isfile(self.output_netlist_filename):
self.output_netlist = self.output_netlist_filename
if os.path.isfile(self.output_sim_netlist_filename):
self.output_sim_netlist = self.output_sim_netlist_filename
# TODO: support outputting the following
self.hcells_list = []
self.output_all_regs = ""
self.output_seq_cells = ""
if self.ran_write_design:
if not os.path.isfile(self.output_gds_filename):
raise ValueError("Output GDS %s not found" % (self.output_gds_filename))
if not os.path.isfile(self.output_netlist_filename):
raise ValueError("Output netlist %s not found" % (self.output_netlist_filename))
if not os.path.isfile(self.output_sim_netlist_filename):
raise ValueError("Output sim netlist %s not found" % (self.output_sim_netlist_filename))
if not os.path.isfile(self.output_sdf_path):
raise ValueError("Output SDF %s not found" % (self.output_sdf_path))
for spef_path in self.output_spef_paths:
if not os.path.isfile(spef_path):
raise ValueError("Output SPEF %s not found" % (spef_path))
else:
self.logger.info("Did not run write_design")
return True
def tool_config_prefix(self) -> str:
return "par.openroad"
def handle_errors(self, output: str, code: int) -> bool:
self.logger.error(f"ERROR: OpenROAD returned with a nonzero exit code: {code}.")
if self.create_archive_mode in ['after_error','always']:
self.create_archive(output, code)
else:
self.logger.info("""To create a tar archive of the issue, set:
par.openroad.create_archive_mode: latest_run
in your YAML configs and re-run your par command""")
return True
def create_archive(self, output: str, code: int) -> bool:
"""
Package a tarball of the design for submission to OpenROAD developers.
Based on the make <design>_issue target in OpenROAD-flow-scripts/flow/util/utils.mk
output: the entire log output of the OpenROAD run
code: exit code from OpenROAD
TODOs:
- Split par.tcl into constituent steps, conditional filter out everything after floorplan
- Conditional copy/sourcing of LEFs & LIBs
- Conditional copy of .pdn file
"""
self.created_archive = True
self.logger.error("Generating a tar.gz archive of build/par-rundir to reproduce these results.")
now = datetime.now().strftime("%Y-%m-%d_%H-%M")
tag = f"{self.top_module}_{platform.platform()}_{now}"
issue_dir = os.path.join(self.run_dir, tag)
os.mkdir(issue_dir)
# Dump the log
with open(os.path.join(issue_dir, f"{self.top_module}.log"),'w') as f:
f.write(output)
# runme script
runme = os.path.join(issue_dir, "runme.sh")
with open(runme,'w') as f:
f.write(dedent("""\
#!/bin/bash
openroad -no_init -exit par.tcl"""))
os.chmod(runme, 0o755) # +x
# Gather files in self.run_dir
file_exts = [".tcl", ".sdc", ".lef"]
for match in list(filter(lambda x: any(ext in x for ext in file_exts), os.listdir(self.run_dir))):
src = os.path.join(self.run_dir, match)
dest = os.path.join(issue_dir, match)
self.logger.info(f"Copying: {src} -> {dest}")
shutil.copy2(src, dest)
self.logger.info(f"Done with copying files with these extensions: {file_exts}")
# Verilog
abspath_input_files = list(map(lambda name: os.path.join(os.getcwd(), name), self.input_files))
for verilog_file in abspath_input_files:
shutil.copy2(verilog_file, os.path.join(issue_dir, os.path.basename(verilog_file)))
# LEF
# This will also copy LEF files that were then hacked in read_lef() but already copied above
lef_files = self.technology.read_libs([
hammer_tech.filters.lef_filter
], hammer_tech.HammerTechnologyUtils.to_plain_item)
if self.hierarchical_mode.is_nonleaf_hierarchical():
ilm_lefs = list(map(lambda ilm: ilm.lef, self.get_input_ilms()))
lef_files.extend(ilm_lefs)
for lef_file in lef_files:
shutil.copy2(lef_file, os.path.join(issue_dir, os.path.basename(lef_file)))
# LIB
corners = self.get_mmmc_corners() # type: List[MMMCCorner]
for corner in corners:
for lib_file in self.get_timing_libs(corner).split():
shutil.copy2(lib_file, os.path.join(issue_dir, os.path.basename(lib_file)))
# RC file
setrc_file = self.get_setting('par.openroad.setrc_file')
if setrc_file and os.path.exists(setrc_file):
shutil.copy2(setrc_file, os.path.join(issue_dir, os.path.basename(setrc_file)))
# RCX File
openrcx_files = self.get_setting('par.openroad.openrcx_techfiles')
for openrcx_file in openrcx_files:
if os.path.exists(openrcx_file):
shutil.copy2(openrcx_file, os.path.join(issue_dir, os.path.basename(openrcx_file)))
# KLayout tech file
klayout_techfile_path = self.setup_klayout_techfile()
if klayout_techfile_path and os.path.exists(klayout_techfile_path):
shutil.copy2(klayout_techfile_path, os.path.join(issue_dir, os.path.basename(klayout_techfile_path)))
# DEF2Stream file
def2stream_file = self.get_setting('par.openroad.def2stream_file')
if os.path.exists(def2stream_file):
shutil.copy2(def2stream_file, os.path.join(issue_dir, os.path.basename(def2stream_file)))
# Hack par.tcl script
# Remove abspaths to files since they are now in issue_dir
subprocess.call(["sed", "-i", "-E", r"/repair_tie_fanout/! s#(/[^/ ]+)+/([^/ ]+/)*([^/ ]+)#\3#g", os.path.join(issue_dir, "par.tcl")])
# Comment out exec klayout block
klayout_bin = self.get_setting('par.openroad.klayout_bin')
subprocess.call(["sed", "-i", f"s/\(exec {klayout_bin}\)/# \\1/g", os.path.join(issue_dir, "par.tcl")])
# Tar up the directory, delete it
subprocess.call(["tar",
"-C", os.path.relpath(self.run_dir),
"-zcf", f"{tag}.tar.gz", tag])
shutil.rmtree(issue_dir)
if self.create_archive_mode == 'always':
self.logger.info("To disable archive creation after each OpenROAD run, remove the par.openroad.create_archive_mode key from your YAML configs (or set it to 'none' or 'after_error')")
if self.create_archive_mode == 'after_error':
self.logger.info("To disable archive creation after each OpenROAD error, add this to your YAML config: \n\tpar.openroad.create_archive_mode: none")
self.logger.error(f"Place-and-route run was archived to: {tag}.tar.gz")
return True
def gui(self) -> str:
cmds: List[str] = []
self.block_tcl_append(f"""
set db_name $::env(db_name)
set timing $::env(timing)
if {{$timing}} {{
{indent(self.read_liberty(), prefix=3*4*' ').strip()}
}}
""", cmds, clean=True, verbose=False)
# cmds.append(self.read_liberty())
self.block_tcl_append("""
puts "Reading $db_name database..."
read_db $db_name
""", cmds, clean=True, verbose=False)
step_names = [s.name for s in self.steps]
self.block_tcl_append(f"""
# Determine step & index
set steps {{ {' '.join(step_names)} }}
""", cmds, clean=True, verbose=False)
self.block_tcl_append("""
set step [string map {pre_ ""} $db_name]
set step [string map {post_ ""} $step]
set step_idx [lsearch $steps $step]
if { [string range $db_name 0 3] == "pre_" } {
set step_idx [expr $step_idx - 1]
}
set step [lindex $steps $step_idx]
""", cmds, clean=True, verbose=False)
spef_file = self.output_spef_paths[0]
self.block_tcl_append(f"""
if {{$timing}} {{
# TODO: need to read a later SDC with updated clock constraints?
{indent(self.read_sdc(), prefix=3*4*' ').strip()}
{self.set_rc()}
if {{ $step_idx >= [lsearch $steps "clock_tree"] }} {{
puts "Post-CTS, propagate clocks..."
set_propagated_clock [all_clocks]
}}
if {{ ($step_idx >= [lsearch $steps "extraction"]) && ([file exists {spef_file}] == 1) }} {{
puts "Post-extraction, reading SPEF..."
{indent(self.read_spef(), prefix=4*4*' ').strip()}
}} elseif {{ $step_idx >= [lsearch $steps "global_route"] }} {{
puts "Post-global_route & pre-extraction, estimating parasitics from global route..."
estimate_parasitics -global_routing
}} elseif {{ $step_idx >= [lsearch $steps "global_placement"] }} {{
puts "Post-global_placement & pre-global_route, estimating parasitics from placement..."
estimate_parasitics -placement
}}
}}
""", cmds, clean=True, verbose=False)
self.block_tcl_append("""
if {$timing} {
puts "Timing information loaded."
} else {
puts "Timing information not loaded."
puts " To load database with timing information, run: "
puts " ./generated_scripts/open_chip \[db_name\] timing"
}
puts "Loaded Step $step_idx: $step ($db_name)."
""", cmds, clean=True, verbose=False)
return '\n'.join(cmds)
#=========================================================================
# useful subroutines
#=========================================================================
def run_openroad(self) -> bool:
# Quit OpenROAD.
self.block_append("exit")
# Create reports directory
os.makedirs(self.reports_dir, exist_ok=True)
# Create metrics directory
os.makedirs(self.metrics_dir, exist_ok=True)
# Create par script.
par_tcl_filename = os.path.join(self.run_dir, "par.tcl")
with open(par_tcl_filename, "w") as f:
f.write("\n".join(self.output))
# Make sure that generated-scripts exists.
os.makedirs(self.generated_scripts_dir, exist_ok=True)
# Create open_chip script pointing to latest (symlinked to post_<last ran step>).
with open(self.open_chip_tcl, "w") as f:
f.write(self.gui())
with open(self.open_chip_script, "w") as f:
f.write(dedent(f"""\
#!/bin/bash
usage() {{
echo ""
echo "Usage: ${{0}} [-t] [openroad_db_name]"
echo ""
echo "Options"
echo " openroad_db_name : Name of database to load (default=latest)"
echo " -t, --timing : Load timing info (default=disabled because of slow load time)"
echo " -h, --help : Display this message"
echo ""
exit
}}
cd {self.run_dir}
source enter
export db_name=$(readlink latest)
export timing=0
while [ "$1" != "" ];
do
case $1 in
-h | --help )
usage ;;
-t | --timing)
export timing=1 ;;
* )
if [ -f $1 ]; then
export db_name=$1
else
error "invalid option $1"
usage
fi ;;
esac
shift
done
$OPENROAD_BIN -no_init -gui {self.open_chip_tcl}
"""))
os.chmod(self.open_chip_script, 0o755)
num_threads = str(self.get_setting('vlsi.core.max_threads'))
now = datetime.now().strftime("%Y%m%d-%H%M%S")
self.openroad_log = os.path.join(self.run_dir,f"openroad-{now}.log")
# Build args.
args = [
self.get_setting('par.openroad.openroad_bin'),
"-no_init", # do not read .openroad init file
"-log", self.openroad_log,
"-threads", num_threads,
"-metrics", self.metrics_file,
"-exit", # exit after reading par_tcl_filename
par_tcl_filename
]
if bool(self.get_setting('par.openroad.generate_only')):
self.logger.info("Generate-only mode: command-line is " + " ".join(args))
else:
output = self.run_executable(args, cwd=self.run_dir)
if not self.created_archive and self.create_archive_mode == "always":
self.create_archive(output,0) # give it a zero exit code to indicate OpenROAD didn't error before this
# create reports
self.log_to_reports()
# copy openroad-{timestamp}.log to openroad.log
shutil.copyfile(self.openroad_log, self.openroad_latest_log)
return True
def get_timing_libs(self, corner: Optional[MMMCCorner] = None) -> str:
"""
Helper function to get the list of ASCII timing .lib files in space separated format.
Note that Cadence tools support ECSM, so we can use the ECSM-based filter.
:param corner: Optional corner to consider. If supplied, this will use filter_for_mmmc to select libraries that
match a given corner (voltage/temperature).
:return: List of lib files separated by spaces
"""
pre_filters = optional_map(corner, lambda c: [self.filter_for_mmmc(voltage=c.voltage,temp=c.temp)]) # type: Optional[List[Callable[[hammer_tech.Library],bool]]]
lib_args = self.technology.read_libs([hammer_tech.filters.timing_lib_with_ecsm_filter], hammer_tech.HammerTechnologyUtils.to_plain_item, extra_pre_filters=pre_filters)
return " ".join(lib_args)
def read_lef(self) -> str:
# OpenROAD names the LEF libraries by filename:
# foo.tlef and foo.lef evaluate to the same library "foo"
# solution: copy foo.lef to foo1.lef
cmds = [""]
lef_files = self.technology.read_libs([
hammer_tech.filters.lef_filter
], hammer_tech.HammerTechnologyUtils.to_plain_item)
if self.hierarchical_mode.is_nonleaf_hierarchical():
ilm_lefs = list(map(lambda ilm: ilm.lef, self.get_input_ilms()))
lef_files.extend(ilm_lefs)
lef_file_libnames=[]
unique_id=0
for lef_file in lef_files:
lef_file_name=lef_file.split('/')[-1]
lef_file_libname=''.join(lef_file_name.split('.')[:-1])
lef_file_ext=lef_file_name.split('.')[-1]
if lef_file_libname in lef_file_libnames:
lef_file_libname=f"{lef_file_libname}_{unique_id}.{lef_file_ext}"
new_lef_file=f"{self.run_dir}/{lef_file_libname}"
shutil.copyfile(lef_file, new_lef_file)
unique_id+=1
lef_file_libnames.append(lef_file_libname)
lef_file = new_lef_file
cmds.append(f"read_lef {lef_file}")
cmds.append("")
return '\n'.join(cmds)
@property
def corner_names(self) -> List[str]:
corner_names = []
corners = self.get_mmmc_corners() # type: List[MMMCCorner]
if corners:
for corner in corners:
# Setting up views for all defined corner types: setup, hold, extra
if corner.type is MMMCCornerType.Setup:
corner_name="setup"
elif corner.type is MMMCCornerType.Hold:
corner_name="hold"
elif corner.type is MMMCCornerType.Extra:
corner_name="extra"
else:
raise ValueError("Unsupported MMMCCornerType")
corner_names.append(corner_name)
return corner_names
def read_liberty(self) -> str:
cmds=[]
if self.corner_names:
cmds.append(f"define_corners {' '.join(self.corner_names)}")
for corner,corner_name in zip(self.get_mmmc_corners(),self.corner_names):
lib_files=self.get_timing_libs(corner)
for lib_file in lib_files.split():
cmds.append(f"read_liberty -corner {corner_name} {lib_file}")
cmds.append("")
return '\n'.join(cmds)
def scale_units_1000x_down(self,prefix) -> str:
# convert SI prefix down by 1000x
if prefix == 'a':
return 'f'
if prefix == 'f':
return 'p'
if prefix == 'p':
return 'n'
if prefix == 'n':
return 'u'
if prefix == 'u':
return 'm'
if prefix == 'm':
return ''
return ''
def read_sdc(self) -> str:
# overwrite SDC file to exclude group_path command
# change units in SDC file (1000.0fF and 1000.0ps cause errors)
cmds = [""]
sdc_files = self.generate_sdc_files()
for sdc_file in sdc_files[:-1]:
cmds.append(f"read_sdc -echo {sdc_file}")
cmds.append("")
return '\n'.join(cmds)
def set_rc(self) -> str:
# set layer/wire RC
cmd = ""
setrc_file = self.get_setting('par.openroad.setrc_file')
if setrc_file and os.path.exists(setrc_file):
cmd = f"source {setrc_file}"
else:
self.logger.warning("OpenROAD par.openroad.setrc_file is not specified or does not exist. Layer capacitance/resistance values may be inaccurate.")
return cmd
#========================================================================
# par main steps
#========================================================================
def init_design(self, first_step=None) -> bool:
# start routine
self.block_append(self.read_lef())
self.block_append(self.read_liberty())
if first_step and first_step != self.first_step:
self.block_append(f"read_db pre_{first_step.name}")
else:
# read_verilog
# We are switching working directories and we still need to find paths.
abspath_input_files = list(map(lambda name: os.path.join(os.getcwd(), name), self.input_files))
for verilog_file in abspath_input_files:
self.block_append(f"read_verilog {verilog_file}")
self.block_append(f"link_design {self.top_module}\n")
self.block_append(self.read_sdc())
self.block_append(self.set_rc())
self.block_append(f"""
set_dont_use {{{' '.join(self.get_dont_use_list())}}}
""")
# step-dependent commands
step_names = [s.name for s in self.steps]
if first_step:
if step_names.index(first_step.name) > step_names.index('clock_tree'):
self.block_append("set_propagated_clock [all_clocks]")
if step_names.index(first_step.name) > step_names.index('extraction') \
and os.path.exists(self.output_spef_paths[0]):
self.block_append(self.read_spef())
elif step_names.index(first_step.name) > step_names.index('global_route'):
self.block_append("estimate_parasitics -global_routing")
elif step_names.index(first_step.name) > step_names.index('global_placement'):
self.block_append("estimate_parasitics -placement")
with open(self.write_reports_tcl, 'w') as f:
f.write('\n'.join(self.create_write_reports_tcl()))
self.block_append(f"source {self.write_reports_tcl}")
self.block_append(r"""
proc find_macros {} {
set macros ""
set block [[[ord::get_db] getChip] getBlock]
foreach inst [$block getInsts] {
set inst_master [$inst getMaster]
if { [string match [$inst_master getType] "BLOCK"] } {
append macros [$inst getName] " "
}
}
return $macros
}
""", verbose=False)
return True
@property
def macros_list_file(self) -> str:
return os.path.join(self.run_dir, "macros.txt")
def floorplan_design(self) -> bool:
floorplan_tcl = os.path.join(self.run_dir, "floorplan.tcl")
with open(floorplan_tcl, "w") as f:
f.write("\n".join(self.create_floorplan_tcl()))
self.block_append(f"""
################################################################
# Floorplan Design
""")
self.block_append(f"""
# Print paths to macros to file
set macros_file {self.macros_list_file}
set macros_file [open $macros_file "w"]
""", verbose=False)
self.block_append(r"""
set macros ""
foreach macro [find_macros] {
set inst [[ord::get_db_block] findInst $macro]
set inst_master [$inst getMaster]
append macros $macro " \n"
append macros "\t- master: " [$inst_master getName] " \n"
append macros "\t- width: " [$inst_master getWidth] " \n"
append macros "\t- height: " [$inst_master getHeight] " \n"
set origin [$inst_master getOrigin]
append macros "\t- origin: " [lindex $origin 0] ", " [lindex $origin 1] " \n"
}
puts $macros
puts $macros_file $macros
close $macros_file
""", verbose=False)
self.block_append(f"""
# Init floorplan + Place Macros
source -verbose {floorplan_tcl}
# Make tracks
# create routing tracks""")
self.block_append(self.generate_make_tracks_tcl())
self.block_append(f"""
# remove buffers inserted by synthesis
remove_buffers
# IO Placement (random)
{self.place_pins_tcl(random=True)}
""")
return True
def place_bumps(self) -> bool:
# placeholder for tutorials
return True
def macro_placement(self) -> bool:
if self.floorplan_mode == 'auto_macro':
macro_orient = self.get_setting('par.openroad.macro_placement.orient_all')
macro_orient = self.convert_orientation_hammer2openroad(macro_orient)
halo = self.get_setting('par.openroad.macro_placement.halo')
if len(halo) not in [1,2]:
self.logger.error("Macro placement halo key 'par.openroad.macro_placement.halo' must be set as [vertical, horizontal] width pair.")
return False
if len(halo) == 1:
halo += halo # append to itself
channel = self.get_setting('par.openroad.macro_placement.channel')
if not channel:
channel = [2*h for h in halo]
halo = ' '.join([str(h) for h in halo])
channel = ' '.join([str(c) for c in channel])
padding = self.get_setting('par.openroad.global_placement.placement_padding')
snap_layer = self.get_setting('par.openroad.macro_placement.snap_layer')
stackup = self.get_stackup()
snap_layer = stackup.get_metal(snap_layer).index
self.block_append(f"""
################################################################
# Auto Macro Placement
set macros [find_macros]
if {{ $macros != "" }} {{
foreach macro $macros {{
place_cell -inst_name $macro -orient R90 -origin {{ 0 0 }} -status PLACED
}}
# Timing Driven Mixed Sized Placement
global_placement -density 0.6 -pad_left {padding} -pad_right {padding}
# ParquetFP-based macro cell placer, “TritonMacroPlacer”
macro_placement -halo {{ {halo} }} -channel {{ {channel} }} -snap_layer {snap_layer}
}}
""", verbose=True)
return True
def place_tapcells(self) -> bool:
tap_cells = self.technology.get_special_cell_by_type(CellType.TapCell)
endcap_cells = self.technology.get_special_cell_by_type(CellType.EndCap)
if len(tap_cells) == 0:
self.logger.warning("Tap cells are improperly defined in the tech plugin and will not be added. This step should be overridden with a user hook.")
return True
endcap_arg = ""
if len(endcap_cells) == 0:
self.logger.warning("Endcap cells are improperly defined in the tech plugin and will not be added.")
else:
endcap_arg = "-endcap_master " + str(endcap_cells[0].name[0])
tap_cell = tap_cells[0].name[0]
try:
interval = self.get_setting('vlsi.technology.tap_cell_interval')
offset = self.get_setting('vlsi.technology.tap_cell_offset')
self.block_append(f"""
################################################################
# Tapcell insertion
tapcell -tapcell_master {tap_cell} {endcap_arg} -distance {interval} -halo_width_x {offset} -halo_width_y {offset}
""")
except KeyError:
pass
finally:
self.logger.warning(
"You have not overridden place_tap_cells. By default this step adds a simple set of tapcells or does nothing; you will have trouble with power strap creation later.")
return True
@property
def macro_top_layers(self) -> List[str]:
layers = set()
floorplan_constraints = self.get_placement_constraints()
global_top_layer = self.get_setting('par.blockage_spacing_top_layer')
############## Actually generate the constraints ################
for constraint in floorplan_constraints:
if constraint.top_layer is not None:
layers.add(constraint.top_layer)
elif global_top_layer is not None:
layers.add(global_top_layer)
return list(layers)
def write_power_straps_tcl(self, power_straps_tcl_path) -> bool:
pwr_nets=self.get_all_power_nets()
gnd_nets=self.get_all_ground_nets()
primary_pwr_net=pwr_nets[0].name
primary_gnd_net=gnd_nets[0].name
stackup = self.get_stackup()
all_metal_layer_names = [layer.name for layer in stackup.metals]
strap_layers = self.get_setting('par.generate_power_straps_options.by_tracks.strap_layers').copy()
std_cell_rail_layer = str(self.get_setting('technology.core.std_cell_rail_layer'))
strap_layers.insert(0,std_cell_rail_layer)
add_pdn_connect_tcl=""
for i in range(0,len(strap_layers)-1):
add_pdn_connect_tcl+=f"add_pdn_connect -grid grid -layers {{{strap_layers[i]} {strap_layers[i+1]}}}\n"
self.global_connections_tcl = ""
for pwr_net in pwr_nets:
if pwr_net.tie is not None:
net = pwr_net.tie
else:
net = primary_pwr_net
self.global_connections_tcl += f"\n add_global_connection -net {{{net}}} -inst_pattern {{.*}} -pin_pattern {{^{pwr_net.name}$}} -power"
for gnd_net in gnd_nets:
if gnd_net.tie is not None:
net = gnd_net.tie
else:
net = primary_gnd_net
self.global_connections_tcl += f"\n add_global_connection -net {{{net}}} -inst_pattern {{.*}} -pin_pattern {{^{gnd_net.name}$}} -ground"
blockage_spacing = self.get_setting('par.blockage_spacing')
blockage_spacing_halo = ' '.join([str(blockage_spacing) for i in range(4)])
pdn_grid_tcl = ""
i = 1
for layer in self.macro_top_layers:
layer_idx = stackup.get_metal(layer).index
try:
# get next layer up if it's valid
# TODO: should restrict layers to those specified by power straps
next_layer = stackup.get_metal_by_index(layer_idx+1).name
except:
continue
grid1_name = f"CORE_macro_grid_{i}"
grid2_name = f"CORE_macro_grid_{i+1}"
i += 2
pdn_grid_tcl += f"""
####################################
# grid for: {grid1_name}
####################################
define_pdn_grid -name {{{grid1_name}}} -voltage_domains {{CORE}} -macro -orient {{R0 R180 MX MY}} -halo {{ {blockage_spacing_halo} }} -default -grid_over_boundary
add_pdn_connect -grid {{{grid1_name}}} -layers {{{layer} {next_layer}}}
####################################
# grid for: {grid2_name}
####################################
define_pdn_grid -name {{{grid2_name}}} -voltage_domains {{CORE}} -macro -orient {{R90 R270 MXR90 MYR90}} -halo {{ {blockage_spacing_halo} }} -default -grid_over_boundary
add_pdn_connect -grid {{{grid2_name}}} -layers {{{layer} {next_layer}}}
"""
tcl = f"""
####################################
# global connections
####################################
{self.global_connections_tcl}
global_connect
####################################
# voltage domains
####################################
# AO is hard-coded in cpf generation too
# but OpenROAD errors when using AO instead of CORE
set_voltage_domain -name {{CORE}} -power {{{primary_pwr_net}}} -ground {{{primary_gnd_net}}}
####################################
# standard cell grid
####################################
define_pdn_grid -name {{grid}} -voltage_domains {{CORE}}
{' '.join(self.create_power_straps_tcl())}
{add_pdn_connect_tcl}
{pdn_grid_tcl}
"""
with open(power_straps_tcl_path,'w') as power_straps_tcl_file:
for line in tcl.split('\n'):
power_straps_tcl_file.write(line.strip()+'\n')
return True
def power_straps(self) -> bool:
"""Place the power straps for the design."""
# power_straps_tcl_path = os.path.join(self.run_dir, "power_straps.pdn")
power_straps_tcl_path = os.path.join(self.run_dir, "power_straps.tcl")
# self.generate_pdn_config(power_straps_tcl_path)
self.write_power_straps_tcl(power_straps_tcl_path)
self.block_append(f"""
################################################################
# Power distribution network insertion
# pdngen -verbose {power_straps_tcl_path}
source -echo -verbose {power_straps_tcl_path}
pdngen