-
Notifications
You must be signed in to change notification settings - Fork 264
Open
Labels
formatterVerilog code formatter issuesVerilog code formatter issuespreprocessoranything related to preprocessing (conditionals, macros, etc.)anything related to preprocessing (conditionals, macros, etc.)
Description
Code
`include "defines.svh"
module top (
clk,
rst_n_sync,
in,
out
);
input clk;
input rst_n_sync;
input in;
output reg out;
`ifdef ASYNC_RESET_N
always@(posedge clk or negedge rst_n_sync)begin
`else
always@(posedge clk)begin
`endif
if(!rst_n_sync) begin
out <= 1'b0;
end else begin
`include "defines.svh"
module top (
clk,
rst_n_sync,
in,
out
);
input clk;
input rst_n_sync;
input in;
output reg out;
`ifdef ASYNC_RESET_N
always@(posedge clk or negedge rst_n_sync)begin
`else
always@(posedge clk)begin
`endif
if(!rst_n_sync) begin
out <= 1'b0;
end else begin
`include "defines.svh"
module top (
clk,
rst_n_sync,
in,
out
);
input clk;
input rst_n_sync;
input in;
output reg out;
`ifdef ASYNC_RESET_N
always@(posedge clk or negedge rst_n_sync)begin
`else
always@(posedge clk)begin
`endif
if(/*!rst_n*/!rst_n_sync) begin
out <= 1'b0;
end else begin
out <= in;
end
end //always
endmoduleCommand used
verible-verilog-format --inplace temp.v
**Error **
temp.v: temp.v:18:1-5: syntax error at token "`else"
temp.v:23:10-13: syntax error at token "else"
temp.v:25:6-8: syntax error at token "end"
Metadata
Metadata
Assignees
Labels
formatterVerilog code formatter issuesVerilog code formatter issuespreprocessoranything related to preprocessing (conditionals, macros, etc.)anything related to preprocessing (conditionals, macros, etc.)